第一范文网 - 专业文章范例文档资料分享平台

排队电路的EDA课程设计报告

来源:用户分享 时间:2020-06-22 本文由拧巴小姐 分享 下载这篇文档 手机版
说明:文章内容仅供预览,部分内容可能不全,需要完整文档或者需要复制内容,请下载word后使用。下载word有问题请添加微信号:xxxxxx或QQ:xxxxxx 处理(尽可能给您提供完整文档),感谢您的支持与谅解。

华东交通大学

华东交通大学

电气与电子工程学院

EDA语言与VHDL课程设计报告

课题名称: 排队电路 姓 名: 学 号: 专业班级: 系 ( 院): 电气与电子工程学院 指导老师: 设计时间: 2012年12月24日

设计地点: 三楼电子测控实验室

华东交通大学

目 录

一:排 队 电 路 分 析..................................................1

1、电路要求................................................................................................................................1

2、排队电路的分布....................................................................................................................1

3、VHDL程序模块描述.........................................................................................................3 ①进程p1...........................................................................................................................3

②进程p2...........................................................................................................................5 ③进程p3...........................................................................................................................5 ④进程p4...........................................................................................................................5 ⑤进程p5...........................................................................................................................6 ⑥进程p6...........................................................................................................................6 ⑦进程p7...........................................................................................................................6 ⑧进程p8...........................................................................................................................8

二:波 形 仿 真 图............................................................................................................8

(一)波形仿真图设置............................................................................................................8 (一)Waiter=1的波形图........................................................................................................8 (二)Waiter=0的波形图........................................................................................................8 (三)管脚绑定........................................................................................................................9

三:下载到单片机实验箱上的实验现象.................................................................9

四:课设收获与体会............................................................................................................10

参考文献..................................................................................................11 附录..........................................................................................................12

第 0 页 共 22 页

华东交通大学

一:排 队 电 路 分 析

1、 电路要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

2、 排队电路的分布

实现排队电路系统的硬件电路主要由按键输入单元、可编程逻辑器件控制单元、数码管输出显示单元、晶体振荡器及电源单元等外围电路构成,如图1所示。

程序结果如下图:

其中,按键输入单元完成指令的输入,包括新客户区号按钮、柜台的按钮、系统复位按钮等;可编程逻辑器件控制单元是整个排队系统的核心控制单元,负责响应按键输入单元的指令,经过运算产生相关数字和控制信号送给输出显示单元;输出显示单元主要由多为数码管构成,能实现当前动态显示当前服务的号码和队伍的长度。如图二:

第 1 页 共 22 页

华东交通大学

3、 VHDL程序进程描述

第一部分:写入可编程逻辑器件芯片中的VHDL控制程序,其实体部分的端口定义描述如

下:

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ordernumsys is

port(rst,clk,tmpclk:in std_logic;

selout:out std_logic_vector(2 downto 0); waiter:in bit;

selbit:out std_logic_vector(7 downto 0); lednum:out std_logic_vector(6 downto 0)); end ordernumsys;

输入信号中,rst是全局复位信号,在rst=1的时候,所有显示和计数被清零;clk是全局时钟;waiter是柜台能服务的按键信号,waiter=1时,就代表柜台可以为已经取号的客户进行服务。输出信号中:selout是由三位选择哪一个数码管。Selbit是从1到8分别代表数码管,控制数码管位选信号,用来控制哪位数码管发光,低电平有效;lednum是控制数码管段选信号,控制数码管显示的具体内容,高电平有效。

第二部分:为了计算各显示单元的值,还需定义如下的一些信号量:

Signal newcustom_ll,newcustom_lh,newcustom_hl,newcustom_hh:

std_logic_vector(3 downto 0); Signal waitcustom_ll,waitcustom_lh,waitcustom_hl,waitcustom_hh: std_logic_vector(3 downto 0); Signal curcustom_ll,curcustom_lh,curcustom_hl,curcustom_hh:

std_logic_vector(3 downto 0); Signal length_ll,length_lh,length_hl,length_hh:

std_logic_vector(3 downto 0); Signal tmpbcdnum:std_logic_vector(3 downto 0); Signal cnt4:integer range 0 to 10 ;

Signal sel:std_logic_vector(2 downto 0);

Signal cnt10:std_logic_vector(1 downto 0); Signal tmpclk:std_logic; 其中:

第 2 页 共 22 页

华东交通大学

①newcustom用来存放新来客户取号时分配的编号的:

newcustom_ll是个位,newcustom_lh是十位,newcustom_hl是百位, newcustom_hh是千位;

②waitcustom用来存放等待人数的编号的:

waitcustom_ll是waitcustom中的个位,waitcustom_lh是waitcustom中的 十位,waitcustom_hl是waitcustom中的百位,waitcustom_hh是waitcustom 中的千位;

③curcustom是用来存放当前接受服务的客户的编号:

curcustom_ll是curcustom中的个位,curcustom_lh是curcustom中的十位, curcustom_hl是curcustom中的百位,curcustom_hh是curcustom中的千位; ④length是用来存放现在队伍长度的:

length_ll是length中的个位,length_lh是length中的十位,length_hl是 length中的百位,length_hh是length中的千位;

⑤tmpbcdnum用来临时存放4位二进制数据; ⑥cnt4代表的是那8个七段数码管; ⑦sel代表的是3个选通信号;

⑧cnt10与tmpclk是时钟分频信号;

第三部分:结构体部分

内部还有八个进程:P1 新客户取号时,号码的变化以及等待的人数的变化; P2 柜台当前服务的号码(curcustom)的计算;

P3 随着时钟上升沿的到来,来控制8个七段数码管的显示; P4 sel的三个选通信号来控制8个七段数码管;

P5 二倍分频电路,设定十进制计数器cnt10来使clk分频成tmpclk; P6 队长的计算:length=newcustom—curcustom(即:新取号的客人号 码—正在服务的客人的号码);

P7 将cnt4与数码管连接起来,设定哪一个数码管显示哪一个数据; P8 七段数码管ABCDEFG的四位二进制数的设定;

4、程序中各进程的详细分析

进程P1:排队人数的变化过程

当新客户来取号时,变量 newcustom、waitcustom的值会发生变化,其数据变化过程流程图如图三所示。客户取号时,分配给客户的编号变量自动加1,当编号超过9999时会自动回到0;同时,当前等待的人也会自动加1,当其超过9999时也回到0。

if rst='0' then newcustom四位全为0000 Waitcustom四位全为0000 elsif clk'event and clk='1' then newcustom_ll<=newcustom_ll+1; if newcustom_ll<\ then newcustom_ll<=newcustom_ll+1; elsif newcustom_lh<\ then

newcustom_lh<=newcustom_lh+1;newcustom_ll<=\ 后面与之步骤相同;直到newcustom全都加到1001.

Elsif (newcustom_ll<=\ newcustom_hl<=\ waitcustom_ll<=waitcustom_ll+1;

后面的waitcustom的与前面的newcustom的程序步骤相同,都是如此。具体步骤见后面的附录。

第 3 页 共 22 页

搜索“diyifanwen.net”或“第一范文网”即可找到本站免费阅读全部范文。收藏本站方便下次阅读,第一范文网,提供最新幼儿教育排队电路的EDA课程设计报告 全文阅读和word下载服务。

排队电路的EDA课程设计报告 .doc 将本文的Word文档下载到电脑,方便复制、编辑、收藏和打印
本文链接:https://www.diyifanwen.net/wenku/1094876.html(转载请注明文章来源)
热门推荐
Copyright © 2018-2022 第一范文网 版权所有 免责声明 | 联系我们
声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。
客服QQ:xxxxxx 邮箱:xxxxxx@qq.com
渝ICP备2023013149号
Top