第一范文网 - 专业文章范例文档资料分享平台

任意波形信号发生器

来源:用户分享 时间:2025/6/1 15:18:30 本文由loading 分享 下载这篇文档手机版
说明:文章内容仅供预览,部分内容可能不全,需要完整文档或者需要复制内容,请下载word后使用。下载word有问题请添加微信号:xxxxxxx或QQ:xxxxxx 处理(尽可能给您提供完整文档),感谢您的支持与谅解。

--------------------------------------------------------------------------------------------------------------------- architecture behav of s_gen is

--------元件定义------------------------------------------------------------------------------------------------- component obl is port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0));

end component obl; component sin is port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0));

end component sin; component dlt is port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0));

end component dlt; component squ is port(clk,reset:in std_logic;

Q:out std_logic_vector(7 downto 0));

end component squ; component chs is

port(ob,si,dl,sq: in std_logic;

obl,sin,dlt,squ: in std_logic_vector(7 downto 0);

Q:out std_logic_vector(7 downto 0)); end component chs;

----------信号定义----------------------------------------------------------------------------------------------- signal J,K,L,Z: std_logic_vector(7 downto 0); signal JJ,KK,LL,ZZ: std_logic_vector(7 downto 0); signal s: std_logic_vector(6 downto 0); begin

32

----------启动DAC0832--------------------------------------------------------------------------------------- process(clk)is begin

if(clk'event and clk='1') then

if(reset='1') then wr<='1'; else wr<='0'; end if;

end if; end process;

----------信号衰减选择----------------------------------------------------------------------------------------- process(tc,J,K,L,Z)is begin case tc is

when \

when \

LL<='0'&L(7 downto 1);ZZ<='0'&Z(7 downto 1);

when \

LL<=\

when \

LL<=\

when others=>null; end case;

if tc=\elsif tc=\elsif tc=\elsif tc=\end if;

33

a<=s(6);b<=s(5);c<=s(4);d<=s(3);e<=s(2);f<=s(1);g<=s(0); end process;

---------元件例化------------------------------------------------------------------------------------------------ u0: obl port map(clk,reset,J); u1: sin port map(clk,reset,K); u2: dlt port map(clk,reset,L); u3: squ port map(clk,reset,Z);

u4: chs port map(ob,si,dl,sq,JJ,KK,LL,ZZ,Q);

--------------------------------------------------------------------------------------------------------------------- end architecture behav;

-----------结束---------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------

34

35

搜索更多关于: 任意波形信号发生器 的文档
任意波形信号发生器.doc 将本文的Word文档下载到电脑,方便复制、编辑、收藏和打印
本文链接:https://www.diyifanwen.net/c2j4yv2yzo60fvqu4zj5x_9.html(转载请注明文章来源)
热门推荐
Copyright © 2012-2023 第一范文网 版权所有 免责声明 | 联系我们
声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。
客服QQ:xxxxxx 邮箱:xxxxxx@qq.com
渝ICP备2023013149号
Top